Page 1 of 1

Showing question marks instead of variable values in console

Posted: Mon Nov 06, 2017 3:45 pm
by alexlosk
Hi guys, I'm currently working with a mate on a project. When I'm running a test I'm experiencing a weird behavior, when I check the console to see how is it going, I could notice that the variable values are missing, and some question marks are shown instead. I attach you a screen.
Inkedquestionsmarks_LI.jpg
But when my mate is running it on his own pc, it's showing it fine, as you can see in the other attached file.
84FFC2AF.PNG
I don't know if it's something related to setup or something, can you help me guys?

Re: Showing question marks instead of variable values in console

Posted: Mon Nov 06, 2017 8:36 pm
by krstcs
First, please ALWAYS include the following when raising an issue as it will make it much faster and easier for everyone to know the full situation:
1. FULL Ranorex version (currently 7.1.3 and 7.2.0 are supported, if you're not using those, please try them first)
2. Windows version
3. Technology of system under test (SUT) (WPF, Java, Flash, HTML, etc)
4. Ranorex snapshot of element in question, if applicable.
5. RanoreXPath of element in question, if applicable.

Second, this could be due to the data source being set to "Masked" in Ranorex's data connector dialog. Check that first.

If that is the case, the issue becomes why your's and not everyone else. This is probably due to an issue with how you are "sharing" the solution. Are you using a code versioning system like TFS, Git, or SVN? If not, please stop everything and get on one now! This is very important! If you ARE using one, make sure that the test suite files are not on your ignore list (*.rxtst).

Re: Showing question marks instead of variable values in console

Posted: Tue Nov 07, 2017 8:24 am
by alexlosk
First, thank you for the info, next posts will be following this steps.

Second, yes it was that!! I marked as Mask every column, so dumb!! Anyway, thank you again for the reply, the problem is solved.

Regards